Untitled

 avatar
unknown
plain_text
2 years ago
320 B
11
Indexable
create_clock -name clk_100M 10 -waveform {0 5} [get_ports "clk"]
set_clock_transition -rise 0.1 [get_clocks "clk_100M"]
set_clock_transition -fall 0.1 [get_clocks "clk_100M"]
set_clock_uncertainity 0.01 [get_ports "clk"]
set_input_delay -max 1.0 [get_ports "clk"] -clock clk_100M
set_max_delay -mwx 1.0 [get_ports "clk"]
Editor is loading...